Teknologi

Intel Foundry Raih Tonggak Pencapaian Penting dengan Teknologi 18A, Mendorong Inovasi Industri Chip

Intel telah mengumumkan pencapaian signifikan dalam pengembangan teknologi foundry dengan peluncuran produk unggulan Intel 18A, yaitu Panther Lake dan Clearwater Forest. Kedua produk ini telah berhasil dinyalakan dan menjalankan sistem operasi, menandakan kemajuan penting dalam industri semikonduktor. Pencapaian ini terjadi hanya kurang dari dua kuartal setelah proses tape-out, dan kedua produk diharapkan sudah siap untuk produksi secara massal pada tahun 2025.

Intel Foundry berada di garda terdepan dalam inovasi teknologi. Kevin O’Buckley, wakil presiden senior dan manajer umum Foundry Services di Intel, menyatakan bahwa mereka memelopori berbagai inovasi sistem foundry untuk era kecerdasan buatan (AI). Dalam upaya ini, Intel berkomitmen untuk bekerja sama dengan pelanggan dalam menghadirkan Intel 18A ke pasar di tahun 2025. Hal ini menegaskan niat Intel untuk kembali ke jajaran pemimpin dalam teknologi proses semikonduktor.

Pada bulan Juli, Intel juga meluncurkan 18A Process Design Kit (PDK) 1.0, yang merupakan alat desain penting bagi pelanggan foundry untuk memanfaatkan arsitektur transistor canggih seperti gate-all-around RibbonFET dan sistem pengiriman daya backside PowerVia dalam desain mereka. Dengan adanya penguatan dari mitra Electronic Design Automation (EDA) dan intellectual property (IP), pelanggan dapat memulai desain produk akhir mereka.

Pencapaian pertama yang diraih Intel Foundry adalah berhasilnya implementasi transistor RibbonFET dan teknologi PowerVia, memberikan keuntungan kompetitif dalam desain solusi AI generasi mendatang. Intel Foundry menunjukkan kekuatan ekosistemnya dengan menggabungkan kemampuan desain dan manufaktur.

Keduanya, Panther Lake dan Clearwater Forest, menunjukkan kinerja yang menjanjikan, dengan Panther Lake telah berjalan pada frekuensi memori DDR yang ditargetkan, serta Clearwater Forest yang diharapkan akan menandai peluncuran produk berkinerja tinggi di industri ini. Clearwater Forest, yang merupakan dasar dari chip CPU dan AI di masa depan, menggabungkan teknologi mutakhir tersebut untuk meningkatkan densitas serta efisiensi daya.

Intel Foundry juga memperlihatkan pendekatan sistem foundry yang berbasis pada ketersediaan teknologi manufaktur yang solid dan berkelanjutan. Langkah ini dirancang agar produk semikonduktor dapat dikerjakan dengan lebih efisien dan berkelanjutan. Dalam proses desain Intel 18A, terdapat kolaborasi dengan berbagai mitra untuk memadukan komponen penting yang diperlukan dalam proses pengembangan solusi AI.

Dalam menciptakan daya saing, kolaborasi dengan mitra strategis seperti Cadence dan Synopsys menjadi kunci untuk mengoptimalkan proses desain. Cadence, melalui Tom Beckley, menilai bahwa kolaborasi tersebut mempercepat inovasi yang bermanfaat bagi pelanggan. Shankar Krishnamoorthy dari Synopsys juga menekankan pentingnya peran mereka dalam mendukung kebutuhan industri dengan solusi yang tepat.

Teknologi inti Intel 18A memungkinkan efisiensi yang lebih besar dalam pengembangan prosesor. RibbonFET, dengan kemampuannya untuk mengontrol arus listrik secara ketat, memungkinkan miniaturisasi chip lebih lanjut dan mengurangi kebocoran daya, yang semakin penting seiring meningkatnya kepadatan chip. Sementara itu, PowerVia membantu mengoptimalkan perutean sinyal, mengurangi resistansi serta meningkatkan efisiensi daya pulsa.

Pencapaian awal yang diraih Intel dengan peluncuran produk ini tidak hanya menunjukkan kekuatan teknis perusahaan dalam industri yang kompetitif, tetapi juga berjanji untuk memperkuat posisi Intel di pasar semikonduktor yang semakin didominasi oleh inovasi teknologi untuk aplikasi AI. Dengan fokus kuat pada kemajuan manufaktur dan kemitraan strategis, Intel Foundry siap untuk membawa perubahan besar dalam cara produk semikonduktor dikembangkan dan diproduksi.

Disamping itu, Intel berkomitmen untuk terus berinovasi dan beradaptasi dengan perubahan kebutuhan pasar, serta memperkuat posisinya dalam industri teknologi tinggi. Keberhasilan pengembangan Panther Lake dan Clearwater Forest diharapkan akan menjadi langkah awal dalam memproduksi solusi AI yang lebih efisien dan dapat diandalkan, memberikan dampak positif bagi konsumen dan industri secara keseluruhan. Dengan semua inovasi ini, Intel berusaha bukan hanya untuk menjadi pemimpin teknologi, tetapi juga untuk menciptakan masa depan di mana semikonduktor memimpin perkembangan terobosan dalam teknologi informasi dan komputer di seluruh dunia.

Redaksi Ilmiah

Ilmiah merupakan situs media online yang menyajikan berita dan informasi terbaru di Indonesia yang paling update.
Back to top button